How to Clean Semiconductor of Pollutants?

0
198

1. Initialization

The invention of four fundamental processes—ion implantation, diffusion, epitaxial growth, and lithography—after the 1950s led to the progressive development of the semiconductor IC process. If the chip is contaminated with metals and dust, it is simple to damage the circuit function. Further, leading to short circuits, open circuits, and other failures of the integrated circuit and the generation of geometric characteristics. As a result, for integrated circuit fabrication processes including high-temperature diffusion and ion implantation, wet or dry cleaning is necessary in addition to eliminating external contamination sources throughout the production process. To successfully remove dust, metal ions, and organic pollutants that have remained on the wafer while protecting the wafer’s surface and electrical capabilities, dry and wet cleaning operations uses chemical solutions or gases.

2. Classification of contaminants and pollutants

The manufacture of integrated circuits requires both organic and inorganic chemicals. Additionally, human interaction is constantly required during the production process. This results in a variety of environmental pollution of the silicon wafer. Based on their frequency, pollutants are divided into four groups: particles, organic matter, metal pollutants, and oxides., according to easybom.

2.1 Substances

The majority of the particles are polymers, photoresist, and etching impurities. Usually, the particles adhere to the silicon surface. This affects how geometric features and electrical properties evolve throughout the ensuing process. Although the adhesion between the particles and the surface is varied, it is mostly van der Waals attraction. Hence the procedure for removing the particles involves undercutting the particles via physical or chemical means and removing them gradually. The particle is gradually removed when the particle’s contact area with the silicon surface decreases.

2.2 Organics

The IC process contains a variety of organic pollutants. These includes human skin oils, clean room air, mechanical oils, silicone vacuum greases, photoresists, cleaning solvents, and others. Every contamination has a different impact on the IC process, mostly by leaving an organic layer on the wafer surface that stops the cleaning solution from getting to the wafer surface. As a result, the initial stage in the cleaning process is typically eliminating organic contaminants.

2.3 Pollutant metals

In the construction of IC circuits, several components are connected using metal interconnect materials. On the insulating layer, contact windows are made using photolithography and etching, and metal interconnects are made using evaporation, sputtering, or chemical vapor deposition. Interconnect films like Al-Si, Cu, and others are etched to create interconnect lines. The deposited dielectric layer is then chemical mechanically polished. The technique used to produce IC could become contaminated by this procedure. Building metal interconnects results in the production of various metal contaminations. It is necessary to take the proper actions to reduce metal pollution.

2.4 Natural and synthetic oxides

A native oxide layer is produced by simple oxidation of silicon atoms in the presence of oxygen and water. After cleaning with SC-1 and SC-2 solutions, a chemical oxide layer will form on the silicon wafer surface due to the high oxidizing power of hydrogen peroxide. To ensure the quality of the gate oxide, this surface oxide must be removed once the wafer has been cleaned. Moreover, during the cleaning process, silicon nitride and silicon dioxide, two oxides created by chemical vapor deposition (CVD) in the IC process, should be removed selectively.

3. Sorting out cleaning techniques

3.1 Moistening up

Using liquid chemical solvents and DI water, wet cleaning oxidizes, etching, and dissolves wafer surface impurities, organic debris, and metal ion contamination. Common wet cleaning techniques include RCA cleaning, dilution chemical cleaning, IMEC cleaning, single wafer cleaning, and others.

3.1.1 RCA cleanup technique

At initially, there was no regular or organized approach to cleaning. RCA (Radio Corporation of America) developed the RCA cleaning method for silicon wafer cleaning in 1965 and used it to create RCA components. The majority of manufacturers’ cleaning procedures will be based on the original RCA cleaning approach in the future. Since then, this cleaning procedure has served as the basis for numerous front and rear cleaning methods.

Solvents, acids, surfactants, and water are used in RCA cleaning to spray, clean, oxidize, etch, and dissolve organic and metal ion contamination from wafer surfaces without affecting the properties of the wafer surface. Rinse in ultrapure water completely after each chemical application.

The following is a list of some of the most popular cleaning agents and their functions.

(1) A mixture of ammonium hydroxide, hydrogen peroxide, and DI water is known as APM (NH4OH/H2O2/H2O at 65–80°C). NH4 OH:H2O2:H2O=1:1:51:2:7 is the formula for APM, which uses oxidation and micro-etching to undercut and remove surface particles as well as to remove some metallized pollution and mild organic contaminants. On the other hand, surface roughness appears concurrently with silicon oxidation and etching.

(2) A solution of hydrogen peroxide, DI water, and hydrochloric acid (HPM; HCI/H2O2/H2O at 65-80°C). The recipe for HPM, sometimes referred to as SC-2 cleaning solution, is as follows: Additionally, chloride ions in hydrochloric acid undergo complex reaction with residual metal ions to form complexes that are readily soluble in aqueous solution, which can be obtained from HCI: H2O2:H2O=1:1:61:2:8, which can dissolve alkali metal ions and hydroxides of aluminum, iron, and magnesium. Metal pollutants are removed by the bottom layer of silica.

(3) A mixture of sulfuric acid (H2SO4/H2O2/H2O at 100°C), hydrogen peroxide (H2O2), and deionized water (DI water). SPM is also known as SC3 cleaning solution. The volume ratio of sulfuric acid to water is one to three. It is a typical cleaning solution for removing organic impurities. While carbonized products can be oxidized with hydrogen peroxide to produce carbon monoxide or carbon dioxide gas, organic matter can be dehydrated and carbonized using sulfuric acid.

(4) Etching with pure hydrofluoric acid or pure hydrofluoric acid diluted with water. Its formula is HF:H2O=1:2:10, and it is used to etch silicon dioxide and silicon oxide, reduce surface metal, and remove oxides from difficult-to-reach locations. Following SC1 and SC2 solution cleaning, the native oxide layer and a chemical oxide layer produced by the oxidation of hydrogen peroxide on the wafer surface are removed using a dilute hydrofluoric acid aqueous solution. As the oxide layer is stripped off, silicon hydrogen is created on the silicon wafer’s surface. combine to create a hydrophobic surface

(5) Another name for ultrapure water is DI water. UPW uses ozonated water to diluted chemicals and rinse liquid for wafers after chemical cleaning.

Following the addition of megasonic energy, RCA cleaning may use less chemical and DI water, etch wafers more quickly, lessen the effect of wet cleaning isotropy on integrated circuit properties, and utilize more cleaning solution. life.

3.1.2 Chemistry of dilution

When used in conjunction with RCA cleaning, the chemical dilution method for SC1 and SC2 mixtures can save a significant amount of chemical and DI water. It is also possible to totally get rid of the H2O2 in the SC2 combination. You can dilute the APM SC2 mixture (1:1:50) to clean the wafer surface of hydrocarbons and particles. Strongly diluted HPM mixes (1:1:60) and diluted HCl (1:100) can be just as successful as traditional SC2 fluids at removing metals.

Another benefit of using diluted HCl solutions is that particles do not settle at low HCl concentrations. The potential of silicon and silicon oxide is equivalent because the pH spans from 2 to 2.5. If the pH level is higher than this point, the silicon wafer’s surface has a network of negative charges; if the pH level is lower, the silicon wafer’s surface has a network of positive charges. The particles in the solution have the same charge as the silicon surface when the pH of the solution is more than 2 to 2.5, creating an electrostatic shield between the particles and the silicon surface.

When the silicon wafer is being etched in the solution, this barrier can stop particles from being ejected from the solution and deposited on the silicon’s surface. There is no shielding effect, thus particles deposit on the silicon surface when it is etched in solution because the wafer surface is positively charged and the particles are negatively charged at pH levels below 2.

RCA Cleaning Method:

To prevent particles in solution from building up on the silicon surface, it is possible to effectively control the HCL concentration. Using the diluted RCA cleaning method results in a reduction of less than 86 percent in total chemical consumption.

Following diluted SC1, SC2 solution and HF augmented with megasonic agitation, the temperature of the solution in the tank can be lowered and the length of various cleaning processes can be improved, extending the solution’s life in the tank and reducing chemical usage by 80–90%. According to experiments, switching to hot UPW instead of cold UPW can reduce UPW usage by 75–80%. Additionally, several dilution chemistries can conserve a significant amount of flushing water due to low flow rates and/or cleaning time requirements.

3.1.3 IMEC cleanup procedure

To reduce the use of chemicals and DI water during wet cleaning, the IMEC cleaning procedure is widely employed. The IMEC cleaning technique is demonstrated in Table 2.

First Stage:

In the first stage, organic pollutants are removed, and to ensure effective particle removal, a thin layer of chemical oxide is produced. Sulfuric acid mixtures are frequently used, however, ozonated DI water is preferred for environmental reasons because it uses less chemical and DI water and doesn’t require the more laborious sulfuric acid bath cleaning stage. As ozone can be dissolved in large concentrations in solution at room temperature, but the reaction time is lengthy, HDMS removal from ozonated DI water is less thorough, making it more challenging to completely remove HDMS. Higher temperatures speed up the reaction while reducing the concentration of dissolved ozone, which lessens the HMDS scavenging effect. As a result, to more efficiently remove organic contaminants, temperature and concentration parameters must be adjusted.

Second Stage:

In the second stage, particulates and metal oxides are eliminated together with the oxide layer. Metal ions, such as Cu and Ag, deposit on the Si surface when they are present in the HF solution. Electrochemical deposition is the method used, and in low-light conditions, copper surface deposition is accelerated.

HF/HCL solutions are commonly used to remove oxide coatings and particulates while suppressing metal ions. Due to the catalytic impact in the Cu 2+/Cu+ process, a small amount of chloride ions enhances Cu deposition, but a large amount of chloride ions is added to produce soluble high cuprous chloride synthesis. The body does not deposit copper ions. The modified HF/HCL mixture successfully delays the onset of metal plating in the solution and prolongs its useful life.

Third Stage:

The third stage involves creating hydrophilicity on the silicon surface in order to prevent drying blotches or watermarks. Dilute HCL/O3 solutions are frequently used to make the silicon surface hydrophilic at low pH without recontaminating metals. Further, increasing the concentration of HNO3 during the final rinse reduces Ca surface contamination.

3.1.4 Cleaning a single wafer

The completion of the cleaning process for large-diameter wafers cannot be guaranteed by the aforementioned approach. The diagram below shows how one wafer is often used to clean. The cleaning technique involves reusing DI-O 3/DHF cleaning solution at room temperature. For creating silicon oxide, DI water (DI-O3) etches silicon oxide while removing particle and metal impurities. Depending on the etching and oxidation requirements, a short spray period can deliver an acceptable cleaning result without cross-contamination. For the last rinse, DI water or ozonated DI water is utilized. To prevent water stains, use isopropyl ethanol (IPA) combined with a lot of nitrogen when drying. The cleaning effect of improved RCA cleaning is superior to single-wafer cleaning. Reusing HF and DI water throughout the cleaning procedure cuts down on chemical use and raises wafer cost-effectiveness.

3.2 Drying off

Using chemical methods in the vapor phase, dry cleaning eliminates contaminants from the wafer surface. The two most popular gas-phase chemical processes are thermal oxidation and plasma cleaning. During the cleaning process, hot chemical gas or plasma reactive gas is introduced into the reaction chamber. Here it reacts chemically with the surface of the wafer to form volatile reaction products that are vacuum evacuated. The removal procedures for various pollutants are listed in Table 4. In an oxidation furnace, thermal oxidation techniques including annealing in a CI confinement environment and in situ argon (Ar) sputtering are frequently used before sputter deposition.

Plasma cleaning involves converting inorganic gas into plasma active particles using lasers, microwaves, thermal ionization, and other techniques. These particles then combine with surface molecules to produce product molecules, which are then examined to create gas-phase residues that separate from the surface.

The benefit of dry cleaning is that it removes all waste liquid after cleaning and permits specific local treatment. Also, the anisotropy of the dry clean etch makes it simpler to produce fine lines and geometric shapes. As a result of its inability to just react with surface metal contaminants, the gas-phase chemical method instead reacts with the silicon surface. VDifferent metals have variable low-temperature volatility, and various volatile metal compositions have different evaporation pressures. Hence, under specific temperature and time conditions, all metal contamination cannot be completely removed. Further, dry cleaning cannot entirely replace wet cleaning. Tests show that the required conditions can be reached by gas-phase chemical reduction of metallized pollutants, including iron, copper, aluminum, zinc, nickel, and others. Using chemical methods based on CL ions, calcium can likewise be successfully volatilized at low temperatures. Usually, the process involves a mix of dry and wet cleaning techniques.

4 In conclusion

Semiconductor IC cleaning is the most frequent step in the production of integrated circuits. The attributes of integrated circuits and the chip manufacturing process are significantly influenced by the effectiveness of the cleaning effect. A huge number of cleaning cycles will use up a lot of chemicals and DI water. Further, improper handling of the cleaning solution’s various components will seriously damage the environment. The usage of various chemicals and DI water can be reduced or eliminated by using dilution chemical procedures, IMEC cleaning techniques, dry cleaning, and a combination of dry and wet cleaning techniques. In light of IC procedures with thinner scribe lines and more integration, researchers are still looking into more effective cleaning strategies. These include the efficient matching of megasonic energy in the cleaning solution to remove sub-fine particles. Semiconductor IC cleaning will face more issues with higher precision IC activities.